Dresden
Technology Portal

 
Your access to research infrastructure and know-how
de|en

Contact

web: http://www.screening-fab.com/en/ald-center.html
phone: +49 351 2607-3040
fax: +49 351 2607-3005
address: Fraunhofer Institute for Photonic Microsystems (IPMS), Center Nanoelectronic Technologies, High-k Devices, Königsbrücker Str. 178, 01099 Dresden, Germany
Center: Center Nanoelectronic Technologies (CNT)
partner: Fraunhofer Institute for Photonic Microsystems

Expertise

The “High-k Devices” focus group develops technologies for the integration of high-k materials into microchips and offers the entire value-adding chain from chemical precursors, material screening, process development, reliability testing right through to pilot production. There is a particular focus on Atomic Layer Deposition (ALD).

The Center Nanoelectronic Technologies is also co-founder of the competence center for Atomic Layer Deposition - ALD Lab Dresden, an association of 8 institutes for a comprehensive expertise and capabilities in the field of ALD. Furthermore, the High-k group is working on ferroelectric memories and materials such as hafnium, zirconium, strontium, ruthenium or tantalum for the application on 300 mm wafer.

instruments

View instruments (1)

Affiliations

Parent Units

name type actions
Center Nanoelectronic Technologies (CNT) Center view

Last Update

Last updated at: 2016-07-14 07:58